Keskustelu:VHDL-kieli FPGA-suunnittelussa/4-bittinen BCD-laskuri

Page contents not supported in other languages.
Wikikirjastosta

Korjaus ja parannuskommentteja[muokkaa wikitekstiä]

  • Kuvaan "Yllä, 4-bittisen BCD-laskurin totuustaulu" pitää liittää asianmukainen tekijänoikeusmalline, jos se jätetään artikkeliin
  • Kuva olisi parempi korvata wikitaulukolla ja samalla täydentää se sivulla olevaa VHDL-koodia ja simulaatiota vastaavaksi.
  • Tekstiä tulisi täydentää sivulla esitetyn laskurin lyhyellä toimintaperiaatteen kuvauksella, jossa selostettaisiin kaikkien laskuriin liittyvien signaalien kayttötarkoitus.
  • Lisäksi sivulle olisi hyvä lisätä myös VHDL-toteutuksen kanssa samalla tavalla toimivan logiikkakaaviototeutus.